PTTC.PNG
Skip to main content.

одновибратор

Автор статьи: Шалыт Израиль Соломонович
Дата последнего изменения:2014.05.28
Сообщить об ошибке
  моностабильный элемент
одновибратор

-
[ГОСТ 2.743-91]
EN  
FR  

Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт ) формируют выходной импульс заданной длительности.
Длительность определяется внешними времязадающими резисторами и конденсаторами.
То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

В стандартные серии микросхем входят одновибраторы двух основных типов:
- одновибраторы без перезапуска;
- одновибраторы с перезапуском

Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

5451
Одновибратор без перезапуска
 

5452

Одновибратор с перезапуском

[Ю.В. Новиков. Введение в цифровую схемотехнику]


Параллельные тексты EN-RU

5409

Monostable flip-flop
The output variable will be 1 only if the input variable changes to 1.
The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
Without a 1 in the function block, the monostable flip-flop is retriggerable.
The time is 100 ms in this example, but it may be changed to any other duration.

[Schneider Electric]
Одновибратор
Значение переменной на выходе равно 1, если входная переменная становится равной 1.
Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

[Перевод Интент]

Тематики

  • Булева алгебра, элементы цифровой техники

Синонимы

  • моностабильный элемент

EN

  • biased multivibrator
  • delay multivibrator
  • gate multivibrator
  • gated multivibrator
  • kipp oscillator
  • kipp relay
  • latching circuit
  • mono
  • monoflop
  • monostable
  • monostable circuit
  • monostable flip-flop
  • monostable multivibrator
  • monostable trigger circuit
  • monovibrator
  • one-cycle multivibrator
  • one-shot
  • one-shot generator
  • one-shot multivibrator
  • single flip-flop oscillator
  • single vibrator
  • single-shot flip-flop
  • single-shot multivibrator
  • single-shot trigger
  • single-shot trigger circuit
  • single-trip multivibrator
  • single-trip trigger
  • single-trip trigger circuit
  • start-stop multivibrator
  • trigger circuit
  • univibrator

 

Внимание!

Закрыть